[多选题]

在用数据选择器设计逻辑电路时,如果要求输入变量的个数m大于数据选择器的地址端个数n时,常用什么方法解决。()

A .级连法

B .扩展法

C .降维法

D .重新选择器件,使m=n

参考答案与解析:

相关试题

十六路数据选择器的地址输入(选择控制)端有()个。

[单选题]十六路数据选择器的地址输入(选择控制)端有()个。A . 16B . 2C . 4D . 8

  • 查看答案
  • 十六路数据选择器的地址输入(选择控制)端有( )个

    [单选题]十六路数据选择器的地址输入(选择控制)端有( )个A.16B.2C.4D.8

  • 查看答案
  • 一个8选1数据选择器,其地址输入(选择控制输入)端有几个()。

    [单选题]一个8选1数据选择器,其地址输入(选择控制输入)端有几个()。A .2B .3C .4D . D.5

  • 查看答案
  • 一个16选一的数据选择器,其地址输入(选择控制输入)端有()个。

    [单选题]一个16选一的数据选择器,其地址输入(选择控制输入)端有()个。A . 1B . 2C . 4D . 16

  • 查看答案
  • 一个四选一数据选择器,其地址输入端有()个。

    [单选题]一个四选一数据选择器,其地址输入端有()个。A .16B .2C .4D .8

  • 查看答案
  • CSS中选择器的类型有()A、标签选择器B、类选择器C、ID选择器D、段选择器

    [单选题]C.SS中选择器的类型有()A.标签选择器B.类选择器C.ID选择器D.段选择器

  • 查看答案
  • CSS选择器有3种基本的选择器:标签选择器、()、和ID选择器。

    [填空题] CSS选择器有3种基本的选择器:标签选择器、()、和ID选择器。

  • 查看答案
  • 多路数据选择器MUX的输入信号可以是()。

    [单选题]多路数据选择器MUX的输入信号可以是()。A .数字信号B .模拟信号C .数模混合信号D . D.数字和模拟信号

  • 查看答案
  • 下面哪种不是jquery的选择器()A、基本选择器B、后代选择器C、类选择器D、

    [单选题]下面哪种不是jquery的选择器()A.基本选择器B.后代选择器C.类选择器D.进一步选择器

  • 查看答案
  • 简述用译码器或多路选择器实现组合逻辑电路的不同之处。

    [问答题] 简述用译码器或多路选择器实现组合逻辑电路的不同之处。

  • 查看答案
  • 在用数据选择器设计逻辑电路时,如果要求输入变量的个数m大于数据选择器的地址端个数